CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - mux vhdl

搜索资源列表

  1. DPLL(VHDL).rar

    0下载:
  2. 使用VHDL语言进行的数字锁相环的设计,里面有相关的文件,可以使用MUX+PLUS打开,The use of VHDL language of digital phase-locked loop design, there are relevant documents, you can use MUX+ PLUS Open
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:13717
    • 提供者:国家
  1. mux

    0下载:
  2. 多路选择器 verilog CPLD EPM1270 源代码-MUX source verilog CPLDEPM1270
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:107665
    • 提供者:韩思贤
  1. mux

    0下载:
  2. 多路选择器是一个多输入,单输出的组合逻辑电路,在算法电路的实现中常用来根据地址码来调度数据。-MUX is a multi-input, single-output combinational logic circuit, in the algorithm used in the realization of circuits to address code in accordance with scheduling data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:119732
    • 提供者:张应辉
  1. Electronic-Design-Automation

    0下载:
  2. 用vhdl语句描述4位等值比较器,4选1多路选择器,8位奇偶校验电路功能-VHDL language used to describe the equivalent four comparators, 4 election more than one MUX, 8-bit parity circuit functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1074
    • 提供者:徐靖
  1. mux21a

    0下载:
  2. 2选1多路选择器的VHDL完整描述,即可以直接综合出实现相应功能的逻辑电路及其功能器件。图6-1是此描述对应的逻辑图或者器件图-2 election more than one MUX complete descr iption of the VHDL, which can be directly integrated to achieve the corresponding function logic devices and their functions. Figure 6-1 is th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:3020
    • 提供者:刘阳
  1. mux21

    0下载:
  2. 一个比较简单的2选1多路选择器,初学者可以借以熟悉软件-A relatively easy one of the 2 election MUX, beginners can be so familiar with the software
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:74543
    • 提供者:飞仔
  1. mux

    0下载:
  2. Mulriplexer is implemented using VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:24284
    • 提供者:nik
  1. vhdl

    0下载:
  2. Very high speed integrated Hardware Descr iption Language (VHDL) -是IEEE,工业标准硬件描述语言 -用语言的方式而非图形等方式描述硬件电路 容易修改 容易保存 -特别适合于设计的电路有: 复杂组合逻辑电路,如: -译码器,编码器,加减法器,多路选择器,地址译码 -Very high speed integrated Hardware Descr iption Language (VHDL)-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1735847
    • 提供者:sherry
  1. Inpout32

    0下载:
  2. 32 bit inout mux for embedded design
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:19460
    • 提供者:kingtut
  1. mux

    0下载:
  2. A Mux example written in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-27
    • 文件大小:19721
    • 提供者:Matheus
  1. mux

    0下载:
  2. VHDL realization of MultiPl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:164623
    • 提供者:Sima
  1. lab5

    0下载:
  2. 撰寫一個 1 bit 的 2-to-1 MUX VHDL code -Write a 1 bit of 2-to-1 MUX VHDL code
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:123186
    • 提供者:徐小華
  1. mux

    0下载:
  2. vhdl code for multiplexer and detemines how multiplexer works
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:578
    • 提供者:basheer
  1. mux2x1

    0下载:
  2. mux 2x1 designed on vhdl fpga adv. pro
  3. 所属分类:File Formats

    • 发布日期:2017-04-14
    • 文件大小:3634
    • 提供者:zacri233
  1. MuxDemux_E1_E3

    0下载:
  2. E3 -Mux / Demux - Multiplexer of 16 E1 Channels-E3 -Mux / Demux - Multiplexer of 16 E1 Channels
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:7196
    • 提供者:qi
  1. vhdlcodes4

    0下载:
  2. VHDL coding for 4X1 mux in behavioural modelling and for 16X1 mux in structural modelling.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:771
    • 提供者:mohankrrishna
  1. MUX

    0下载:
  2. VHDL Code for 4:1,2:1 MUX using when statment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:673
    • 提供者:bharat
  1. mux16_1

    0下载:
  2. VHDL code foe 16:1 MUX using structural modelling
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:650
    • 提供者:bharat
  1. 4x1_mux

    0下载:
  2. this a simple Verilog source code for 4X1 mux.-this is a simple Verilog source code for 4X1 mux.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3833
    • 提供者:firas
  1. 4X1-MUX-VHDL-source-code

    0下载:
  2. This page of VHDL source code covers 4X1 MUX vhdl code.
  3. 所属分类:Education soft system

    • 发布日期:2017-04-12
    • 文件大小:714
    • 提供者:ss
« 12 3 »
搜珍网 www.dssz.com